diff --git a/test.scad b/test.scad new file mode 100644 index 0000000..637485e --- /dev/null +++ b/test.scad @@ -0,0 +1,5 @@ +include ; + +$fn = 32; + +pcb_jig(100, 50); \ No newline at end of file